摘要: 墙裂推荐: http://man.linuxde.net/ |more H(获得帮助信息),Enter(向下翻滚一行),空格(向下滚动一屏),Q(退出命令) |less |tree 输出到文本 Ctrl +R: 搜命令历史 出现bck后,直接键入关键词abc,出现命令 阅读全文
posted @ 2018-03-16 11:33 liheng369 阅读(135) 评论(0) 推荐(0) 编辑
摘要: 收集: vcs -cm line|cond|fsm|tgl|obc|path 设定coverage的方式 +define+macro=value+ 预编译宏定义 -f filename RTL文件列表 +incdir+directory+ 添加`include 文件时,自动搜索的路径 -R 编译后立 阅读全文
posted @ 2018-03-15 18:02 liheng369 阅读(956) 评论(0) 推荐(0) 编辑
摘要: 如今,数据中心网络设备如何进行虚拟化被广泛关注与讨论,这是一种提升网络管理效率的有效方式。网络设备的虚拟化不同于网络虚拟化技术,强调的是网络设备之间的融合,将所有的网络设备虚拟化成一台设备,统一对所有网络设备集中式管理,这样大大提升了网络的使用率和管理效率,802.1BR就是这样的一种虚拟化技术。一 阅读全文
posted @ 2018-03-09 23:59 liheng369 阅读(1473) 评论(0) 推荐(0) 编辑
摘要: MII接口: RXD(Receive Data)[3:0]:数据接收信号,共4根信号线; TX_ER(Transmit Error): 发送数据错误提示信号,同步于TX_CLK,高电平有效,表示TX_ER有效期内传输的数据无效。对于10Mbps速率下,TX_ER不起作用; RX_ER(Receive 阅读全文
posted @ 2018-03-09 23:56 liheng369 阅读(13839) 评论(0) 推荐(0) 编辑
摘要: 首先是top_tb: `timescale 1ns/1ps`include "uvm_macros.svh" import uvm_pkg::*;`include "my_if.sv"`include "my_transaction.sv"`include "my_sequencer.sv"`inc 阅读全文
posted @ 2018-03-09 22:49 liheng369 阅读(2007) 评论(0) 推荐(0) 编辑
摘要: 同步FIFO, verilog: 阅读全文
posted @ 2018-03-09 22:37 liheng369 阅读(404) 评论(0) 推荐(0) 编辑
摘要: FIFO介绍: FIFO是英文First In First Out 的缩写,是一种先进先出的数据缓存器,他与普通存储器的区别是没有外部读写地址线,这样使用起来非常简单,但缺点就是只能顺序写入数据,顺序的读出数据,其数据地址由内部读写指针自动加1完成,不能像普通存储器那样可以由地址线决定读取或写入某个 阅读全文
posted @ 2018-03-09 16:46 liheng369 阅读(377) 评论(0) 推荐(0) 编辑