摘要: Useful SystemVerilog System Tasks $sscanf 将字符串按照某个模板格式进行扫描,其字符串格式和C语言中的printf()函数类似 $sformat是$sscanf的反函数。将字符串按照给定的格式填入相应的参数args中 $display就是Verilog的pri 阅读全文
posted @ 2018-03-29 09:51 liheng369 阅读(10224) 评论(0) 推荐(0) 编辑