问题一:在进行例程分析启动VCS出现/bin/sh illegal option -h

ubuntu 14.0安装synopsys EDA工具,例如vcs,在输入vcs后,显示/bin/sh illegal option -h
解决办法:
sudo rm -f /bin/sh
sudo ln -s /bin/bash /bin/sh

在进行了以上操作时,再次运行VCS时,出现LICENSE不服务的问题。

解决办法:

需要先把VCS的相关LICENSE运行起来。才可以运行。

例如我的就需要先运行:lmg-vcs命令。才能正常进行后续操作。

|||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||

标签:second   error:   dat文件   can   install   call   

在Ubuntu中想使用vcs运行Verilog程序:

问题1:cannot connect to the license server.

The connect()system call failed.

Make sure that your LM_LICENSE_FILE is pointing to the right.

location and that the license server is up.

解决:在Windows中重新生成Synopsys.dat文件,并且修改DAEMON snpslmd中正确的路径。接着把Synopsys.dat文件放到vc和Verdi的安装包license文件夹下。最后重新用指令lmg_vcs激活license。

问题2:

collect2: error: ld returned 1 exit status
Makefile:104: recipe for target ‘product_timestamp‘ failed
make[1]: *** [product_timestamp] Error 1
make[1]: Leaving directory ‘/home/liyirui/my/csrc‘
Make exited with status 2
CPU time: .231 seconds to compile + .364 seconds to elab + .199 seconds to link
Makefile:4: recipe for target ‘com‘ failed
make: *** [com] Error 2

解决:我这里基本上是参考了一个博客:https://blog.csdn.net/Chi_Hong/article/details/86361063

(这一步可以跳过,直接进行第二步)第一步:gcc版本需要降到4.8。指令:

apt-get install gcc-4.8
update-alternatives --install /usr/bin/gcc gcc /usr/bin/gcc-4.8 80
update-alternatives --config gcc

第二步:指令:

vcs -full64 -LDFLAGS -Wl,-no-as-needed -V -R 你的测试程序.v 你的综合程序.v -o simv -gui -debug_pp

 

/////??????????????????????????????????????????????????????????????????????????????/////

需要注意的是:因为我使用Makefile来进行命令的批量操作,即使按照上面得步骤操作后依然是报同样的错误