摘要: 任务就是一段封装在“task-endtask”之间的程序。任务是通过调用来执行的,而且只有在调用时才执行,如果定义了任务,但是在整个过程中都没有调用它,那么这个任务是不会执行的。调用某个任务时可能需要它处理某些数据并返回操作结果,所以任务应当有接收数据的输入端和返回数... 阅读全文
posted @ 2019-02-20 16:02 Aurora_l 阅读(903) 评论(0) 推荐(0) 编辑
摘要: 函数的功能和任务的功能类似,但二者还存在很大的不同。在 Verilog HDL 语法中也存在函数的定义和调用。 1.函数的定义 函数通过关键词 function 和 endfunction 定义,不允许输出端口声明(包括输出和双向端口) ,但可以有多个输入端口。函数定... 阅读全文
posted @ 2019-02-20 15:23 Aurora_l 阅读(563) 评论(0) 推荐(0) 编辑
摘要: 目录练习五. 用always块实现较复杂的组合逻辑电路练习六. 在Verilog HDL中使用函数练习七. 在Verilog HDL中使用任务(task)练习八. 利用有限状态机进行复杂时序逻辑的设计练习五. 用always块实现较复杂的组合逻辑电路目的: 1.掌握用... 阅读全文
posted @ 2019-02-20 15:22 Aurora_l 阅读(503) 评论(0) 推荐(0) 编辑