Parameter在Module中的定义和调用

看两个例子即可:

module  paramreg #(parameter WIDTH = 8) (

);

endmodule

paramreg #(2) r1(…);

posted on 2012-04-28 16:17  freshair_cn  阅读(976)  评论(0编辑  收藏  举报

导航