verilog同时输出到文件和屏幕

要想同时输出到屏幕只要将打开文件得到的fd的最低位置1即可

integer fd;

initial
begin
  fd = $fopen("log.txt");
  fd = fd | 32'b1;
  $fwrite(fd,"hello\n");
end

 

 

posted on 2013-06-13 15:35  逆转骑士  阅读(657)  评论(0编辑  收藏  举报

导航