CY0904030105

  博客园 :: 首页 :: 博问 :: 闪存 :: 新随笔 :: 联系 :: 订阅 订阅 :: 管理 ::

2012年5月30日

摘要: 1 硬件部分1.1 Avalon-MM接口(读作:阿窝龙妹妹接口)Avalon Memory-Mapped接口,简称为 Avalon-MM接口,用于在存储映射系统中描述主从元件(component)的读/写接口。图1.1 Amy_S_lcd12864 IP与System Interconnect Fabric的连线框图图1.2 某带有Amy_S_lcd12864 IP的Avalon系统框图1.2 从设备读写时序请参考手册《Avalon Interface Specification》,此处略去。1.3 HDL模块及说明1.3.1 模块介绍表1.1 Amy_S_lcd12864 IP的HDL源代 阅读全文
posted @ 2012-05-30 15:52 CY0904030105 阅读(364) 评论(0) 推荐(0) 编辑