博客园  :: 首页  :: 新随笔  :: 联系 :: 订阅 订阅  :: 管理

2020年6月29日

摘要: 相位偏转角估计(复数相角计算) Verilog 1 /********************************************************************/ 2 /*模块名称:Estimation_Phase ******/////// 3 /* ******// 阅读全文

posted @ 2020-06-29 22:00 沉默改良者 阅读(1956) 评论(2) 推荐(0) 编辑

摘要: 频率偏差角度估计 Verilog 1 module CFO_Estimating( 2 3 //Module clock 4 input wire Clk, 5 //the reset signal 6 input wire Rst_n, 7 //the enable signal of the i 阅读全文

posted @ 2020-06-29 21:55 沉默改良者 阅读(700) 评论(1) 推荐(0) 编辑

摘要: 简化版的复数相关运算 Verilog //************************************************************************************************************** //Function descrip 阅读全文

posted @ 2020-06-29 21:53 沉默改良者 阅读(1036) 评论(0) 推荐(0) 编辑

摘要: 简单幅值计算(复数的绝对值) Verilog 1 //************************************************************************************************************** 2 //Function 阅读全文

posted @ 2020-06-29 21:51 沉默改良者 阅读(2461) 评论(0) 推荐(0) 编辑

摘要: 数据量化 Verilog 1 module Quantization( 2 3 4 //moduel clock 5 input wire Clk, 6 //the reset signal 7 input wire Rst_n, 8 //the enable signal of the input 阅读全文

posted @ 2020-06-29 21:48 沉默改良者 阅读(1052) 评论(0) 推荐(0) 编辑

摘要: 数据分离 verilog /****************************************************************/ /*模块名称:数据分流模块 ******///// /*模块功能:1,缓存来自分组检测完成后的数据 包括了: ******///// /* 阅读全文

posted @ 2020-06-29 21:46 沉默改良者 阅读(671) 评论(0) 推荐(0) 编辑

摘要: 数据组合 Verilog 1 module Data_Combination( 2 3 input wire Clk, 4 input wire Rst_n, 5 6 input wire ShortTrainingEnable, 7 input wire [7:0] ShortTrainingRe 阅读全文

posted @ 2020-06-29 21:44 沉默改良者 阅读(654) 评论(0) 推荐(0) 编辑

摘要: 导频数据插入 Verilog module DATA_pilot_insertion( input wire DPI_CLK, input wire DPI_RST, input wire [7:0] DPI_DIN_RE, input wire [7:0] DPI_DIN_IM, input wi 阅读全文

posted @ 2020-06-29 21:43 沉默改良者 阅读(749) 评论(0) 推荐(0) 编辑

摘要: 查找一段信号的累加峰值 verilog 1 module Peak_Finding( 2 3 input wire Clk, 4 input wire Rst_n, 5 input wire DataEnable, 6 input wire [21:0] AbsoluteData; 7 output 阅读全文

posted @ 2020-06-29 21:41 沉默改良者 阅读(777) 评论(0) 推荐(0) 编辑