禾雨

导航

2024年3月6日 #

【UVM】 【source_code】 uvm_cmdline_processor

摘要: class uvm_cmdline_processor 函数 get_arg_values() 用于收集命令行(command line)中匹配的参数,便于后续处理。返回所有匹配上的参数数量,所有匹配上的参数词尾被存放在values[$]中。 source code function int get 阅读全文

posted @ 2024-03-06 15:09 禾雨 阅读(9) 评论(0) 推荐(0) 编辑