禾雨

导航

2020年4月17日 #

$fscanf,对文件一行进行读写

摘要: 转: http://zengbo.blogbus.com/logs/19569780.htmlVerilog 提供了丰富的系统函数,这为Testbench的编写提供了方便。尤其是IEEE1364-2005,其系统级建模的能力更强。 以前我一般常用到的系统函数只有几个:$readmemb,$readm 阅读全文

posted @ 2020-04-17 12:26 禾雨 阅读(1567) 评论(0) 推荐(0) 编辑