禾雨

导航

2020年4月2日 #

SystemVerilog中的$urandom_range()

摘要: 转自:http://blog.sina.com.cn/s/blog_13f7886010102xcho.html 使用SystemVerilog中的rand机制, 经常会用到$urandom_range()这个函数, 得到一个无符号的整型数. 语法:$urandom_range(int unsign 阅读全文

posted @ 2020-04-02 17:55 禾雨 阅读(11223) 评论(0) 推荐(0) 编辑