摘要: 1) QuartusII对代码进行时序仿真时出现Error: Can't continue timing simulation because delay annotation information for design is missing.原因:如果只需要进行功能仿真,不全编译也是可以进行下去的,但时序仿真就必须进行全编译(即工具栏上的紫色实心三角符号那项)。全仿真包括四个模块:综合器(Synthesis)、电路装配器(Fitter)、组装器(Assember)和时序分析器(Timing Analyzer),任务窗格中会有成功标志(对号)。2) 在下载运行的时候,出现下面的错误: 阅读全文
posted @ 2012-12-13 15:40 c_cloud 阅读(2196) 评论(0) 推荐(0) 编辑
摘要: Error: Can't continue timing simulation because delay annotation information for design is missing原因如下:CAUSE: You attempted to simulate a design whose netlist has not been annotated with delayannotation information by the Timing Analyzer or Fitter. As a result, the Simulator cannotcontinue the c 阅读全文
posted @ 2012-12-13 15:31 c_cloud 阅读(2353) 评论(0) 推荐(0) 编辑