冰灵FPGA

软硬兼施
  博客园  :: 首页  :: 新随笔  :: 联系 :: 订阅 订阅  :: 管理

2013年9月25日

摘要: 一、摘要 将Quartus II中FPGA管脚的分配及保存方法做一个汇总。二、管脚分配方法 FPGA 的管脚分配,除了在QII软件中,选择“Assignments ->Pin”标签(或者点击按钮) ,打开Pin Planner,分配管脚外,还有以下2种方法。方法一:Import Assignments步骤1: 使用记事本或类似软件新建一个txt文件(或csv文件),按如下格式编写管脚分配内容(不同的开发版,其内容也不同,本文以我使用的DIY_DE2开发板为范例)。【这种方式格式最为简单】注意:To和Location两个关键字中间有一个半角逗号。图1 pin.txt步骤2: 在QII软件. 阅读全文

posted @ 2013-09-25 09:33 冰灵天堂 阅读(287) 评论(0) 推荐(0) 编辑