熬红了眼

导航

2017年10月19日 #

计数器设计模板

摘要: 所需计数的个数:num 计数器位宽:width 开始计数:wire start_cnt; 停止计数:wire end_cnt; 计数器:reg [width-1:0] cnt; always @(posedge sclk or negedge rst_n)begin if(rst_n == 1'b0 阅读全文

posted @ 2017-10-19 16:35 熬红了眼 阅读(347) 评论(0) 推荐(0) 编辑