wndows下如何用Iverilog+GTKWave进行verilog的编译和查看仿真波形

在申请到本博客之前,我已在CSDN和ChinaUNIX,发表了这几个文章,这里就给出几个链接吧...

文章一:

http://blog.csdn.net/liming0931/article/details/7464841

文章二:

http://blog.csdn.net/liming0931/article/details/7468660

文章三:

http://blog.csdn.net/liming0931/article/details/7468809

文章四:

http://blog.chinaunix.net/uid-25148957-id-3179671.html

倘若有讲的不对的地方,欢迎指正!

posted @ 2012-04-17 20:44  wdliming  阅读(1262)  评论(0编辑  收藏  举报