windows XP下 iverilog+GTKWave使用(一)

感谢网络上的高手,以及官网的资料!

最近又操起了verilog,以前安装过quartus-II和modelsim,装起来很大,现在就是想想能否有一个免费的小巧的综合工具以及波形查看工具,一搜,还真有!iverilog+GTKWave是一个不错的选择,之前在Linux下安装过,现在为了完成作业,看看有没有windows版本的,一查,真有,而且是一个exe文件包含了两个软件(Muzaffer Kal's compilation of GTKWave for Win32 is included in the latest releases.),不错!感谢网上的程序高手!iverilog-0.9.5_setup.exe [6.84MB] 看!只有6.84M哦,装了之后也就25M终于可以不用费尽周折安装QuartusII和modelsim,还要破解!麻烦!这样可以学学linux的知识,以后做嵌入式也有好处!


Icarus Verilog的简介
Icarus Verilog is a Verilog simulation and synthesis tool. It operates as a compiler, compiling source code written in Verilog (IEEE-1364) into some target format. For batch simulation, the compiler can generate an intermediate form called vvp assembly. This intermediate form is executed by the ``vvp'' command. For synthesis, the compiler generates netlists in the desired format.

GTKWave的简介
GTKWave is a fully featured GTK+ v1.2 based wave viewer for Unix and Win32 which reads LXT files as well as standard Verilog VCD/EVCD files and allows their viewing. You can grab version 1.3.11 here .

If you have difficulty in downloading the GTKWave tarball properly, get it from here and rename the file so it doesn't have the ".bin" extension.

The Win32 version is now available (and has been for quite some time) on The Win32 GTKWave Homepage , thanks to Udi Finkelstein . A ready-to-run all libraries included Pentium-Pro optimized version of the binary may be found locally here. Ports to other platforms which GTK supports should be trivial.

gtkwave是一个基于GTK+波形查看器,可以支持LXT, LXT2, VZT, GHW文件以及标准Verilog VCD/EVC文件。


于是和我就在windows下开始使用这连个软件了。
打开终端,就是开始->运行->cmd
G:\Program>iverilog
iverilog: no source files.

Usage: iverilog [-ESvV] [-B base] [-c cmdfile|-f cmdfile]
                [-g1995|-g2001|-g2005] [-g<feature>]
                [-D macro[=defn]] [-I includedir] [-M depfile] [-m module]
                [-N file] [-o filename] [-p flag=value]
                [-s topmodule] [-t target] [-T min|typ|max]
                [-W class] [-y dir] [-Y suf] source_file(s)

See the man page for details.
安装完之后就如上面的所显示的,输入gtkwave,就会自动启动gtkwave这个软件的。
接下来就要来小试牛刀了!

verilog版helloword

module main;
  initial 
    begin
      $display("Hello, World");
      $finish ;
    end
endmodule

用这个command-line:
>iverilog -o hello hello.v
就可以生成hello的一个文件,类似gcc哦!
之后
>vvp hello
Hello, World

这样就可以打印出Hello, World!哈哈,有点感觉!


未完待续。。。

posted @ 2012-04-16 10:38  wdliming  阅读(315)  评论(0编辑  收藏  举报