摘要: Design 代码中的计数器设置是因为我的开发板的时钟是100MHZ的,也就是1秒完成了100_000_000次时钟信号,所以我设置计数器为100_000_000次。 也就是说,我让流水灯的变化周期为1 s。 counter == 32'd100_000_000 代码 `timescale 1ns 阅读全文
posted @ 2022-11-04 10:59 江水为竭 阅读(421) 评论(0) 推荐(1) 编辑