07 2015 档案
Spring 事务管理高级应用难点剖析[转]
摘要:概述Spring 最成功,最吸引人的地方莫过于轻量级的声明式事务管理,仅此一点,它就宣告了重量级 EJB 容器的覆灭。Spring 声明式事务管理将开发者从繁复的事务管理代码中解脱出来,专注于业务逻辑的开发上,这是一件可以被拿来顶礼膜拜的事情。但是,世界并未从此消停,开发人员需要面对的是层出不穷的应... 阅读全文
posted @ 2015-07-30 20:54 小光zfg
Spring 的优秀工具类盘点[转]
摘要:文件资源操作文件资源的操作是应用程序中常见的功能,如当上传一个文件后将其保存在特定目录下,从指定地址加载一个配置文件等等。我们一般使用 JDK 的 I/O 处理类完成这些操作,但对于一般的应用程序来说,JDK 的这些操作类所提供的方法过于底层,直接使用它们进行文件操作不但程序编写复杂而且容易产生错误... 阅读全文
posted @ 2015-07-30 20:50 小光zfg
java-反射示例[转]
摘要:packagecd.itcast.day1;importjava.lang.reflect.Constructor;/***反射:就是得到类型的描述实例**@authorAdministrator**练习:*ReflectDemocd.itcast.day1.User*任意传入一个类型,解析这个类型... 阅读全文
posted @ 2015-07-30 20:29 小光zfg
java-注解annotation[转]
摘要:packagecd.itcast.day2;importjava.lang.reflect.Method;importjava.util.ArrayList;importjava.util.Arrays;importjava.util.Date;importjava.util.List;/***注解... 阅读全文
posted @ 2015-07-30 20:28 小光zfg
springMVC详解[转]
摘要:目录一、前言二、spring mvc 核心类与接口三、spring mvc核心流程图四、spring mvcDispatcherServlet说明五、spring mvc 父子上下文的说明六、springMVC-mvc.xml 配置文件片段讲解七、spring mvc如何访问到静态的文件,如jpg,... 阅读全文
posted @ 2015-07-30 20:12 小光zfg
Spring 框架的设计理念与设计模式分析[转]
摘要:Spring 的骨骼架构Spring 总共有十几个组件,但是真正核心的组件只有几个,下面是 Spring 框架的总体架构图:图 1 .Spring 框架的总体架构图从上图中可以看出 Spring 框架中的核心组件只有三个:Core、Context 和 Beans。它们构建起了整个 Spring 的骨... 阅读全文
posted @ 2015-07-30 20:10 小光zfg
Delphi 与 C/C++ 数据类型对照表[转]
摘要:Delphi数据类型C/C++ShorInt8位有符号整数charByte8位无符号整数BYTE,unsigned shortSmallInt16位有符号整数shortWord16位无符号整数unsigned shortInteger,LongInt32位有符号整数int,longCardinal,... 阅读全文
posted @ 2015-07-30 10:38 小光zfg
windows XP下 iverilog GTKWave使用(四) [转]
摘要:(三)的图片这里上传:先来张大的:不错,软件不大,够用就好了。。然后来张目录想到文件:单机test后出现c1,单击c1出现下面的signal,然后把signal里的信号拖到右侧的signals里,就可以看到下图所示的波形了。在counter.v文件里有这么一句话:always @(posedge c... 阅读全文
posted @ 2015-07-21 14:32 小光zfg
windows XP下 iverilog+GTKWave使用(三)[转]
摘要:windows XP下 iverilog+GTKWave使用(二)当中只有一些显示信息在终端里面,这里讲讲如何生成lxt2文件以便可以被GTKWave调用。在counter_tb.v文件里添加以下语句:initialbegin$dumpfile("test.vcd");$dumpvars(0,tes... 阅读全文
posted @ 2015-07-21 14:30 小光zfg
windows XP下 iverilog+GTKWave使用(二)[转]
摘要:接下来就讲讲以个计数器的仿真以编译,首先编写一个counter.v的文件,如下:modulecounter(out,clk,reset);parameterWIDTH=8;output[WIDTH-1:0]out;inputclk,reset;reg[WIDTH-1:0]out;wireclk,re... 阅读全文
posted @ 2015-07-21 14:29 小光zfg
windows XP下 iverilog+GTKWave使用(一)[转] 留给自己看的
摘要:感谢网络上的高手,以及官网的资料!最近又操起了verilog,以前安装过quartus-II和modelsim,装起来很大,现在就是想想能否有一个免费的小巧的综合工具以及波形查看工具,一搜,还真有!iverilog+GTKWave是一个不错的选择,之前在Linux下安装过,现在为了完成作业,看看有没... 阅读全文
posted @ 2015-07-21 14:17 小光zfg