[FPGA] 2、新建并运行一个工程

 

上一篇将开发板的情况大致介绍了一下,这次将一步一步展示如何新建、调试并下载运行一个点亮LED的工程。


 

1)打开Quartus新建工程:

 

2)填写规则大致如下:

 

3)选择我们芯片的类型:

 

4)点击file|NEW...弹出如下图,新建Verilog HDL File:

 

5)输入下面代码,并保存:

1 module led_light(led);
2     output[3:0] led;
3     assign led=4'b0101;
4 endmodule

 

6)点击编译(如下图1所示标记,2是programmer一会有用)

编译成功会显示:

 

7)点击菜单栏中的Assignment选择Pin Planner对引脚进行设定:

 

8)查找我们想要控制的4个LED所对应的引脚:(查看第一篇引脚说明)

 

9)然后再点击Assignment的Device...在弹出框内设置芯片没有用到的引脚的默认电平(一定不要少!!!)

 

10)然后再编译一遍,如果成功点击programmer,弹出如下框(设置为下图模式,打开开发板开关,点击Start进行下载):

 

PS:本例程为设置开发板上的4个LED等两个亮两个灭~

 

posted @ 2015-04-18 20:28  beautifulzzzz  阅读(1014)  评论(2编辑  收藏  举报