(筆記) 更快更好的判斷奇數偶數的小技巧 (C/C++) (C) (SOC) (Verilog)

Abstract
要判斷奇數偶數,我們都會想用%,最近在Verilog發現一個小技巧也可用在C/C++...

Introduction
(原創) 如何設計除頻器? (SOC) (Verilog) (MegaCore)中有一小段code如下:

assign o_clk = (N == 1) ? clk :
               (N[
0])   ? (clk_p | clk_n) : (clk_p);


先不管Verilog的assign,N[0]就是在判斷N是否為奇數,為什麼可以這樣寫呢?因為在Verilog,N[0]表示N的2進位表示法的第0 bit值,若為1就是奇數,若為0就是偶數。可以將以上的程式碼用C/C++改寫:

o_clk = (N == 1) ? clk :
        (N
& 1)  ? (clk_p | clk_n) : (clk_p);


C/C++要取個別bit值,只能用mask,不能用Verilog那種簡單的語法,所以得用N & 0x00000001來取第0 bit值,再簡化成N & 1,這種寫法比N % 2速度還快,不需用到除法,僅需做bit and即可。

Conclusion
或許這個技巧很多人都知道了,不值一文錢,只是分享一下從Verilog偷來的小技巧用在C/C++上。

See Also
(原創) 如何設計除頻器? (SOC) (Verilog) (MegaCore)

posted on 2008-07-17 15:55  真 OO无双  阅读(9618)  评论(9编辑  收藏  举报

导航