(轉貼) SC_METHOD(), SC_THREAD(), SC_CTHREAD()三者的比較 (C/C++) (SystemC)

Process Type SC_METHOD SC_THREAD SC_CTHREAD
Exec. Trigger Signal Events Sinnal Events Clock Edge
Exec. Suspend NO YES YES
Infinite Loop NO YES YES
Suspend / Resume by N.A. wait() wait() / wait_until()
Construct & Sensitize Method SC_METHOD(call_back);
sensitive (events);
sensitive_pos(events);
sensitive_neg(events);
SC_THREAD(call_back);
sensitive (events);
sensitive_pos(events);
sensitive_neg(events);
SC_CTHREAD(call_back,clock_pos())
SC_CTHREAD(call_back,clock_neg())

Reference
國家晶片系統設計中心 SystemC語言概論(上), 繆永良

posted on 2006-12-13 15:13  真 OO无双  阅读(3793)  评论(1编辑  收藏  举报

导航