2012年9月12日

工艺库概论

该文被密码保护。 阅读全文

posted @ 2012-09-12 07:58 单向度的人 阅读(0) 评论(0) 推荐(0) 编辑

FPGA flow

摘要: FPGA flow下的工具组合有很多。常见的一般是Modelsim + Synplify pro + ISE(Quartus)。关于烧写前的仿真一般是分为两种,前仿真和后仿真。摘录一段(from 真OO无双)由於FPGA可重複編程,所以不少開發人員就不寫testbench,直接使用QuartusII的programmer燒進開發板看結果,或者使用QuartusII自帶的WaveformEditor進行仿真,這種方式雖然可行,但僅適用於小project,若project越寫越大,QuartusII光做fitter就很耗時間,一整天下來都在作QuartusII編譯。比較建議的方式,還是學ASIC那 阅读全文

posted @ 2012-09-12 07:18 单向度的人 阅读(1013) 评论(0) 推荐(0) 编辑

Sparc 10 with Simics

摘要: 手头上的t$mc 180nmmemory compiler只在sparc 平台下被支持,这就意味着需要在x86系统下模拟sparc OS。网上的解决方案是simics(注意,VMWare是无法解决这个问题的)。还需要分清的是Solaris 有两种系统,一个是Sparc平台,一个是x86平台。Sparc平台的Solaris 10 下载地址:http://eduunix.ccut.edu.cn/index2/unixsystem/Solaris/sol-10-u2-ga-sparc-v4/Simics 的版本是3.0.29,在WIN7下安装的时候会报错,所以我首先做的是troubleshootin 阅读全文

posted @ 2012-09-12 05:46 单向度的人 阅读(950) 评论(0) 推荐(0) 编辑

MTI Further

摘要: 以前学习modelsim,就是仿真看看波形,高级的features基本上没用过,当然也不是很清楚有什么含义,经过一段时间的学习,基本上对几种波形也有所了解了。各大EDA公司都是有自己的格式,VCD是标准,FSDB是专利,只能在verdi下面看(verdi -ssf xx.fsdb)前仿,后仿都非常的有用。synopsys下面看vcs的波形就是用vpd(dve -vpd vcdplus.vpd &),如果是放到modelsim和cadence下面,格式就分别变成了wlf和shm。观看VCD文件有个比较好的开源工具,叫做gtkwave。除此之外都是各家通过vcd2wlf(mti),转化为自 阅读全文

posted @ 2012-09-12 04:26 单向度的人 阅读(585) 评论(0) 推荐(0) 编辑

导航