VCS仿真生成vpd文件(verilog)

VCS仿真生成vpd文件(verilog)

一、环境与文件

save image

 

二、开始仿真

1、compiler

首先在testbench中加入如下语句:

initial begin
    $vcdpluson;
end

命令调用vcs

vcs -full64 -f file.f  -debug_pp +vcd+vcdpluson

参数解释

  • file.f 是你的设计文件索引

      save image

  • +vcd+vcdpluson产生Synopsys的波形文件之一vpd格式的波形文件

2、simulate

./simv

生成名为vcdpluson.vpd的文件

3、使用dve查看波形

dve –vpd vcdpluson.vpd

 

 

 

 

 

 

 

 

 

 

 

 

posted @ 2016-10-22 15:06  乔_木  阅读(13763)  评论(0编辑  收藏  举报