2014年4月11日

摘要: 上图为实现Cordic的原理框图。CORDIC的实现可以采用迭代的方式实现,这意味着CORDIC会执行一次迭代n次。CORDIC可以展开实现,即上图的结构,每一个部分处理相同的迭代,执行一次迭代一次。 1 `timescale 1ns / 1ps 2 module Cordic_t1(clk,rst_n,ena,phase_in,sin_out,cos_out,eps); 3 4 input clk; 5 input rst_n; 6 input ena; 7 8 //输入的角度采用16位表示 9 //最高位表示符号位,1为负数。0为正数 10 //接下来的7位,表示角度... 阅读全文
posted @ 2014-04-11 13:20 天涯一客 阅读(451) 评论(0) 推荐(0) 编辑

导航