摘要: 首先只需一个计数器就可以,设定变量x,用来指示计数器cnt0 结束 1 module cnt_test( 2 clk, 3 rst_n, 4 en1, 5 en2, 6 en3, 7 dout 8 ); 9 10 input clk; 11 input rst_n; 12 input en1; 13 阅读全文
posted @ 2022-02-17 20:38 MyBooks 阅读(53) 评论(0) 推荐(0) 编辑