近日看过【FPGA黑金开发板】如何让NIOS II的开发更像单片机一样简单--LED实验(四)后,对于结构体的用法颇敢兴趣,于是照着上面的教程依葫芦画瓢的做了一编,把程序下载到DE2-70板子上,可是指示灯就是不发光,为了验证NIOS2系统是否工作正常,我在代码中加上了一句

printf("hello word");

 

再编译运行,指示灯就能按照预期正常闪烁,把这一句注释掉,又不能显示了。真纳闷啊,难道是我的程序运行在on-chip memory的缘故?改天得再试试在SDRAM运行,看看是不是这样的。

 

我的代码如下:

 

代码
1 #include <stdio.h>
2 #include <unistd.h>
3  //#include "system.h"
4  #include "altera_avalon_pio_regs.h"
5 #include "../inc/sopc.h"
6  int main()
7 {
8 int i;
9 while(1){
10 for(i=0;i<8;i++){
11 LEDG->DATA=1<<i;
12 // IOWR_ALTERA_AVALON_PIO_DATA(PIO_LEDG_BASE,1<<i);
13   usleep(500000);
14 // printf("hello word");
15 }
16 }
17
18 return 0;
19 }
20