摘要: 题目:多时钟域设计中,如何处理跨时钟域 题目:编写Verilog代码描述跨时钟域信号传输,慢时钟域到快时钟域 题目:编写Verilog代码描述跨时钟域信号传输,快时钟域到慢时钟域 跨时钟域处理从快时钟域到慢时钟域,如果是下面第一个图,clkb则可以采样到signal_a_in,但是如果只有单脉冲,如 阅读全文
posted @ 2018-10-22 10:20 NingHeChuan 阅读(17614) 评论(1) 推荐(1) 编辑