2019年3月21日

状态机

摘要: 状态机的基本概念 硬件设计很讲究并行设计思想,虽然用Verilog描述的电路大都是并行实现的,但是对于实际的工程应用,往往需要让硬件来实现一些具有一定顺序的工作,这就要用到状态机的思想。 状态机就是通过不同的状态迁移来完成一些特定的顺序逻辑,硬件的并行性决定了用Verilog描述的硬件实现都是并行执 阅读全文

posted @ 2019-03-21 17:27 onepiece1987 阅读(1107) 评论(0) 推荐(0) 编辑

导航