摘要: Verilog -- 并行2bit输入序列检测器 @(verilog) 乐鑫2020笔试题: 描述:模块输入口是并行的2bit,实现对$(1011001)_2$的序列检测,输入数据顺序为高位2bit先输入,当检测到序列时输出一拍高电平脉冲,用verilg描述。 方法一:状态机 采用状态机描述,先列出 阅读全文
posted @ 2020-06-02 11:38 love小酒窝 阅读(1540) 评论(0) 推荐(2) 编辑