摘要: 1、对于信号几种赋值方式的区别: 2、随机数方法和函数 $urandom_range() 语法:$urandom_range(int unsigned maxval,int unsigned minval = 0); 功能:返回一个在maxval和minval之间的无符号整数 Example: $u 阅读全文
posted @ 2016-08-09 12:47 冷冷北极 阅读(10159) 评论(0) 推荐(0) 编辑