2019年11月29日
摘要: 分频器的实质上就是一个计数器。 带复位功能的计数器: library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity ripple isport( 阅读全文
posted @ 2019-11-29 16:44 李好123 阅读(1185) 评论(0) 推荐(0)