摘要: 四舍五入(round) 前面讲的都是对数据进行扩位,这一节说的是对数据截位时如何进行四舍五入以提高截位后数据的精度。 假设一个9Q6格式的数据为:9’b011.101101,现在只想保留3位小数位,显然必须把最后三位小数位截掉,但是不能直接把数据截成6’b011.101,这样是不精确的,工程上一般也 阅读全文
posted @ 2023-10-17 11:59 luckylan 阅读(222) 评论(0) 推荐(0) 编辑
摘要: 1.verilog浮点表示 定点运算有两个缺点:①可处理动态范围小;②由截尾舍入产生的百分比误差随着数的绝对值的减小而增加,这个问题可利用浮点数来解决。根据IEE754-1985标准,非负数n可以用两个参数表示,即尾数M和指数E,其表示形式为:$\eta =M×2^{E}$ sign exponen 阅读全文
posted @ 2023-10-17 09:23 luckylan 阅读(407) 评论(0) 推荐(0) 编辑