摘要: FPGA学习——Xilinx Vivado 实现led流水灯详解整个流程 创建工程 设计代码、编写功能 RTL分析——引脚定义和绑定 综合synthesis 时序约束 仿真设置并配置激励文件(中小等项目可跳过,直接在线调试毕竟仿真时间太久) 生成bit文件导入fpga中——在线调试 判断是否达成目标 阅读全文
posted @ 2021-11-04 16:20 瘋耔 阅读(1646) 评论(0) 推荐(0) 编辑
跳至侧栏