摘要: # 一、实验目的 * 掌握Vivado集成开发环境 * 掌握Verilog语言基本知识、 * 掌握并理解算术逻辑单元ALU的原理和设计 # 二、实验预习 1.ALU(算术逻辑单元)的16种运算的编码 ![](https://pic.imgdb.cn/item/64f1a1e3661c6c8e5457 阅读全文
posted @ 2023-09-01 16:46 江水为竭 阅读(492) 评论(0) 推荐(1) 编辑