2023年11月22日
摘要: 参考windows、Ubuntu安装QT时经常出现“无法下载存档……”解决办法-CSDN博客 使用国内源安装: 清华大学:https://mirrors.tuna.tsinghua.edu.cn/qt/ 北京理工大学:http://mirror.bit.edu.cn/qtproject/ 中国互联网 阅读全文
posted @ 2023-11-22 18:41 lmore 阅读(414) 评论(0) 推荐(0) 编辑
  2023年10月8日
摘要: 1. qt项目build过程中卡住,不报错。 原因:为了使用Typora,将系统时间调整到了2年前,导致qt构建出现问题(具体机制不明)。解决方法:将系统时间调整回到正常时间即可解决。 阅读全文
posted @ 2023-10-08 14:43 lmore 阅读(28) 评论(0) 推荐(0) 编辑
  2023年9月13日
摘要: 1. qt应用部署,使用qt命令行程序借助qt安装目录下bin目录内自带的部署程序进行部署。参考windeployqt.exe的使用与避坑(windows平台)_撬动未来的支点的博客-CSDN博客 windeployqt.exe D:/test.exe 2. QT在widget.cpp文件中遍历ui 阅读全文
posted @ 2023-09-13 10:52 lmore 阅读(6) 评论(0) 推荐(0) 编辑
  2023年8月29日
摘要: 参考关于system进程中ntoskrnl.exe!KeSynchronizeExecution+0x28b0下占用cpu和硬盘写入的问题 - Microsoft Community win10系统,开机后电脑开始卡顿,在没开任何程序的情况下system进程占比cpu达20%至30%,且cpu运行在 阅读全文
posted @ 2023-08-29 10:04 lmore 阅读(1127) 评论(0) 推荐(0) 编辑
  2023年2月1日
摘要: AXI lite总线读写时序 1. AXI_SLAVE源码 `timescale 1 ns / 1 ps module myip_v1_0_S00_AXI # ( // Users to add parameters here // User parameters ends // Do not mo 阅读全文
posted @ 2023-02-01 22:41 lmore 阅读(207) 评论(0) 推荐(0) 编辑
  2022年10月21日
摘要: “+:”、"-:"语法看到这个语法的时候是在分析AXI lite 总线源码时碰见的,然后查阅了资料,做出如下解释。 1.用处这两个应该算是运算符,运用在多位的变量中,如下:slv_reg0[(byte_index8) +: 8] <= S_AXI_WDATA[(byte_index8) +: 8]; 阅读全文
posted @ 2022-10-21 10:58 lmore 阅读(2358) 评论(0) 推荐(0) 编辑
  2022年10月12日
摘要: 摘自于(15条消息) Verilog中端口应该设置为wire形还是reg形_CLL_caicai的博客-CSDN博客, 以及(15条消息) Verilog端口连接规则_「已注销」的博客-CSDN博客_verilog 端口连接 初学Verilog时,一直搞不清Verilog描述模块时端口应该取什么类型 阅读全文
posted @ 2022-10-12 09:59 lmore 阅读(561) 评论(0) 推荐(0) 编辑
  2022年10月11日
摘要: 1. 在进行自定义 IP 后,将自定义 IP 添加到当前的工程时,出现如下报错: [IP_Flow 19-167] Failed to deliver one or more file(s). [IP_Flow 19-3505] IP Generation error: Failed to gene 阅读全文
posted @ 2022-10-11 14:35 lmore 阅读(1656) 评论(0) 推荐(0) 编辑
  2022年9月19日
摘要: 参考自这篇文章Ubuntu系统update时提示源不安全被禁用 - 知乎 (zhihu.com)。 安装好Ubuntu18.04并更换清华源后,在运行 sudo apt update 更新源时报错如下: 解决方案: 重新安装ca-certificates,打开Ca-certificates Down 阅读全文
posted @ 2022-09-19 16:07 lmore 阅读(443) 评论(0) 推荐(0) 编辑
  2022年9月14日
摘要: 仅限于AXI UART 16550 v. 2.0,其他版本可能存在差异,经过实际测试,可以将fifo深度从默认的16成功修改为32、128和256。参考了两篇帖子中提到的方法,分别是修改AXI UART D16550 FIFO深度 - 简书 (jianshu.com)和Increase FIFO S 阅读全文
posted @ 2022-09-14 17:00 lmore 阅读(1131) 评论(0) 推荐(0) 编辑