FPGA Player

好记性不如烂笔头

导航

延时方法

延时的方法:

1、用寄存器打一拍是常用的方法

always @( posedge clk )

begin

  data_dly <= data;

end

2、用FIFIO,用于延时比较多的时候。

比如要延时10个周期,时钟有效时就触发写使能信号,当写入深度为10时,再触发读使能信号。

rd_en <= wr_en && (width == 10)

 

在文件中定义的寄存器要进行初始化

 

FPGA中对奇数的除法:

2^-3*7 = 2^-3*8-2^-3*1;

reg [7:0] data_a;

reg [7:0] data_b;

data_b <= data_a - {3{data_a[7]},data_a[7:3]};

对于一个数乘以分数,类如7/8,3/4,这种分数为偶数,分子比分母小1。当然5/8= 2^-3*5 = 2^-3*8-2^-3*2-2^-3*1

 

posted on 2018-06-06 09:59  中国的孩子  阅读(332)  评论(0编辑  收藏  举报