摘要: 最近一个月在实习公司做回归测试,对公司的UVM平台用的比较熟练,就想着自己做一个DUT,然后搭建一个UVM验证平台。 首先,DUT是一个简单的32位的加法器,代码如下:alu.v module adder32_cla( input clk , input rst , input enable , i 阅读全文
posted @ 2019-07-31 22:29 Zhangxianhe 阅读(12494) 评论(3) 推荐(1) 编辑
摘要: 我的联系方式:QQ:447574829(加我请说明来处) 阅读全文
posted @ 2019-07-31 19:11 Zhangxianhe 阅读(729) 评论(1) 推荐(0) 编辑