摘要: Zedboard OLED Display Controller IP v1 介绍 Author:zhangxianhe 本文档提供了快速添加,连接和使用ZedboardOLED v1.0 IP内核的说明。运行在ARM处理器系统上的测试应用程序用于通过其驱动程序的功能与IP进行通信。 Vivado设 阅读全文
posted @ 2018-10-16 21:55 Zhangxianhe 阅读(9544) 评论(1) 推荐(1) 编辑
摘要: Vivado+zedboard之初学流水灯 Author:zhangxianhe 环境:vivado 2016.3(已验证适用于2015.4) 开发板:Zedboard version xc7z020clg484-1 实验:使用Vivado和SDK进行Zedboard开发,制作一个简单的流水灯程序以 阅读全文
posted @ 2018-10-16 07:54 Zhangxianhe 阅读(10632) 评论(0) 推荐(2) 编辑