摘要: 目录关于读者的假设实现自定义的Boot Copies缺省的Nios II的Boot Copies高级的Boot Copies范例实现高级的Boot Copies范例小型的启动Boot Copies实现小型的Boot Copies范例调试Boot Copies外置控制下的Nios II的Boot过程参考文档文档版本历史 图1 AN457的目录下载AN458 - Alternative Nios II... 阅读全文
posted @ 2010-08-26 09:25 _安德鲁 阅读(593) 评论(0) 推荐(2) 编辑
摘要: 在Quartus II 10. 0中,选择Assignments>Device>Device and Pin Options>Dual Purpose Pins,可以将一些双功能引脚设置为Use as regular I/O。但是DATA[1]/ASDO和FLASH_nCE/nCSO却无法设置,这是Quartus II 10.0的GUI程序的BUG。那么我们怎么办呢?很好办,因为... 阅读全文
posted @ 2010-08-25 20:36 _安德鲁 阅读(3008) 评论(3) 推荐(1) 编辑
摘要: 引子:偶然间找到一个好用的工具,分享给大家。转自:http://opencollector.org/history/freecore/MIF%20File%20Generator%20Utility.htmWhat is a .MIF File?A .MIF (Memory Initialization File) file is used to preload the EAB's in Alte... 阅读全文
posted @ 2010-08-24 17:16 _安德鲁 阅读(2727) 评论(2) 推荐(2) 编辑
摘要: 讲述如何使用Verilog在Quartus II IDE中进行设计的一般流程,及如何搭配ModelSim_Altera来进行仿真的流程。 阅读全文
posted @ 2010-08-21 16:01 _安德鲁 阅读(22977) 评论(17) 推荐(6) 编辑
摘要: 症状有客户反馈在设备管理器中可查看到Altera USB-Blater的驱动已经正常安装。 图1 已正常安装驱动的Altera USB-Blaster但是在Quartus II需要下载的时候,却找不到USB-Blaster。 图2 找不到USB-Blaster起初我以为是我们提供的Altera USB-Blaster坏了,请求客户退货。几个小时后,该客户反馈USB-Blaster已经可以使用。这是... 阅读全文
posted @ 2010-08-18 10:48 _安德鲁 阅读(17994) 评论(0) 推荐(2) 编辑
摘要: 转自:http://blog.ednchina.com/shanliang/6026/message.aspx滤波电容用在电源整流电路中,用来滤除交流成分。使输出的直流更平滑。 去耦电容用在放大电路中不需要交流的地方,用来消除自激,使放大器稳定工作。 旁路电容用在有电阻连接时,接在电阻两端使交流信号顺利通过。1.关于去耦电容蓄能作用的理解 1)去耦电容主要是去除高频如RF信号的干扰,干扰的进入方式是通过电磁辐射。而实际上,芯片附近的电容还有蓄能的作用,这是第二位的。你可以把总电源看作密云水库,我们大楼内的家家户户都需要供水,这时候,水不是直接来自于水库,那样距离太远了, 等水过来,我们已经渴 阅读全文
posted @ 2010-08-11 14:38 _安德鲁 阅读(4014) 评论(0) 推荐(3) 编辑
摘要: 闲扯如何安装和破解Quartus II 10.0软件。 阅读全文
posted @ 2010-08-11 13:30 _安德鲁 阅读(8784) 评论(6) 推荐(4) 编辑
摘要:   SCH 图1 4x4矩阵键盘的SCH(箭头表示输入输出方向) 如图1所示,将ROW[3:0]设为输入,COL[3:0]设为输出。如果没有任何键被按下,则ROW[3:0]一直被上拉为高电平。只有当有键被按下,且COL[3:0]中有低电平输出,ROW[3:0]中才有可能有低电平输入,也可说是被动地输入。   流程图 图2 流程图   HDL 阅读全文
posted @ 2010-07-23 12:04 _安德鲁 阅读(15737) 评论(16) 推荐(4) 编辑
摘要: 引子正在编写艾米电子P102接口板的程序,使用的是Altera公司7月1号刚发布的10.0套件。原先的代码附有一些中文注释,在Quartus II 10.0中竟然无法查看,甚至导入的文件名中含有中文也无法查看。先前版本的QII虽然无法编译中文,但是仍然可以查看,10.0真是令人非常生气。考虑到这个问题,从昨天开始,我的一部分例程改为了英文注释。但是今天下午又想了一想,这样也不是办法。还是用我的老办... 阅读全文
posted @ 2010-07-20 16:14 _安德鲁 阅读(2632) 评论(6) 推荐(1) 编辑
摘要: 引子一直在用Notepad++,小巧、顺手。偶尔使用UltraEdit来处理列模式;UE越来越大,启动时间太长,早都烦了。今天上网,偶然间看到,Notepad++也有列模式。拜拜UE,彻底删除你。用法先按住alt,选中列,再上下左右拖动编辑即可;再次点击左键即可取消。范例 图 使用Notepad++列模式范例参考请问Notepad++有列模式的功能么? 阅读全文
posted @ 2010-07-19 15:33 _安德鲁 阅读(55197) 评论(2) 推荐(4) 编辑
摘要: 引子:本来是我在艾米电子论坛里的回帖,由于近日咨询的客户比较多,特转贴至此。Step 1在设备和打印机中找到未正常安装驱动的USB-Blaster。 Step 2单击打开属性标签。 Step 3 单击属性标签 Step 4单击更新驱动程序。 Step 5单击浏览计算机以查找驱动程序软件。 Step 6选择路径,下一步即可。 阅读全文
posted @ 2010-07-18 11:11 _安德鲁 阅读(3754) 评论(18) 推荐(1) 编辑
摘要: 转特权(吴厚航)哥的博文。http://blog.ednchina.com/ilove314/1790879/message.aspx在一次闲聊中,一位朋友就问其中几位物流专业的同学“你们是什么专业的”。答曰“物流”。那位朋友就调侃说“物流就是把东西搬来搬去”。同学不服,纠正说“应该是‘实现物体空间的位... 阅读全文
posted @ 2010-07-06 02:27 _安德鲁 阅读(1008) 评论(3) 推荐(2) 编辑
摘要: 清零某位例 A &= ~(1<<1) 将第1位清零A0011~(1<<1)1101A &= ~(1<<1)0001置一某位例 A != (1<<3) 将第3位置一A00111<<31000A != (1<<3) 1011参考AVR等MCU的位操作方法 阅读全文
posted @ 2010-07-03 15:35 _安德鲁 阅读(358) 评论(0) 推荐(2) 编辑
摘要: Altera http://www.altera.com.cn/Xilinx http://china.xilinx.com/Actel http://www.actel.com/intl/china/Lattice http://www.latticesemi.com.cn/Altera Forum http://www.alteraforum.com/ECE 5760 http://instruct1.cit.cornell.edu/Courses/ece576/fpga4fun http://www.fpga4fun.com/FPGA-FAQ http://www.fpga-faq.co 阅读全文
posted @ 2010-07-02 01:34 _安德鲁 阅读(1194) 评论(1) 推荐(3) 编辑
摘要: VGA Signal Timinghttp://www.tinyvga.com/vga-timing 阅读全文
posted @ 2010-07-02 01:09 _安德鲁 阅读(768) 评论(0) 推荐(2) 编辑
摘要: 转AIHHLI 咚冬兄的帖子:http://www.ourdev.cn/bbs/bbs_content.jsp?bbs_sn=3936904转者注:AIHHLI 咚冬兄写得比较生动,值得一读;对其他的点阵屏的研究有很大的参考价值。基于ST7920控制的12864液晶用于字符显示很方便的,但网友说用它显示图形并不合适,原因就是它绘图时先要关闭显示,绘完后又要打开,速度会较慢。我没有用过别的液晶,手中只有这一款,摆弄了几天,掌握了一点东西,写出来共享。 首先,我们知道,图形都是由像素点组成的,绘图的基础其实就是画点。只要我们能点亮液晶的任意一个像素点,那么绘图就不是什么难事了。万丈高楼平地起嘛,先 阅读全文
posted @ 2010-06-17 21:10 _安德鲁 阅读(4649) 评论(1) 推荐(2) 编辑
摘要: 引子:ModelSim是HDL仿真软件,Debussy是波形查看软件;搭配使用,相当爽。此处所谓快速查看前仿真波形仅为抛砖引玉,大家不要拘泥于此。两款软件的功能都很强大,请自行研究。注:本篇博文的软件环境为:Debussy 5.3v9 + Modelsim SE 6.5配置篇1 安装、和谐软件。略。2 拷贝文件..\Novas\Debussy\share\PLI\modelsim_pli\WINNT\novas.dll至文件夹..\modeltech_6.5\win32。3 取消文件..\modeltech_6.5\modelsim.ini的只读属性后,打开。找到; Veriuser = ve 阅读全文
posted @ 2010-05-31 09:45 _安德鲁 阅读(12249) 评论(8) 推荐(12) 编辑
摘要: 参考1 VGA Controller and Nios II Processor Reference Design..\StratixII_2S60\software\Nios_II_VGA_Reference_Application_2S60\vga_example.h 阅读全文
posted @ 2010-05-29 15:03 _安德鲁 阅读(1086) 评论(0) 推荐(3) 编辑
摘要: 引子 先前的[笔记].一种独立键盘消抖的Verilog写法.[Verilog]是针对4个按键写的。今天我略为改动下,改成参数化,已验证,非常好用。   代码 key_debounce.v 解析关键是如何正确使用全局参数。第2行,在module名和moudule的I/O中间声明一些参量;同声明moudule的I/O一样,声明多个参量,使用逗号隔开;注意小括号末尾无需分号。第16行... 阅读全文
posted @ 2010-05-28 10:21 _安德鲁 阅读(2581) 评论(5) 推荐(5) 编辑
摘要: 目录Ch 1 Nios II Flash 编程器概要Ch 2 使用Flash 编程器的图形界面Ch 3 在命令行中使用Flash 编程器附录A 非标准Flash存储器附录B 支持的Flash存储设备附录C 单机模式附录D 故障诊断概要 在Flash编程界面,启动灰色按钮 “No Nios II processors available”错误 “No CFI ta... 阅读全文
posted @ 2010-05-27 20:03 _安德鲁 阅读(1150) 评论(2) 推荐(3) 编辑
摘要: 一些表格表1 Nios II处理器系统的最大时钟频率(tMAX)(MHz)表2 Nios II处理器系统的MIPS(每秒钟一百万个指令) 表3 在不同设备家族上的Nios II处理器系统的MIPS/MHz比表4 Nios II处理器核和外设的逻辑元件使用率——Stratix IV、Stratix III、Stratix II和Stratix设备 表5 Nios II处理器核... 阅读全文
posted @ 2010-05-26 21:00 _安德鲁 阅读(3152) 评论(1) 推荐(3) 编辑
摘要: 引子一直在用PreCode Snppnet在Liver Writer中处理代码高亮;用起来蛮方便的。但是没有我喜欢的Verilog HDL的高亮。今天我在loydsen的启发下,决定写个基于SyntaxHighlighter的Verilog HDL组件,其实很简单。目前还在整理期间,一些关键字和函数还没有加入,还请各位长辈、行家多提意见和建议。 源代码shVerilogEnhanced.js如何使... 阅读全文
posted @ 2010-05-17 20:55 _安德鲁 阅读(1271) 评论(3) 推荐(3) 编辑
摘要: 转自:http://blog.chinaunix.net/u2/70445/showart_717648.html货物基地(主板)连接着物资(数据)的供求方。基地的货物调度厂房(北桥芯片)掌管着若干个用于临时供货/生产与存储的仓库基地(P-Bank),它们通常隶属于某一仓储集团(DIMM),这种基地与调度厂房之间必须由64条传送带联系着(P-Bank位宽),每条传送带一次只能运送一个标准的货物(1... 阅读全文
posted @ 2010-05-15 21:14 _安德鲁 阅读(1039) 评论(0) 推荐(2) 编辑
摘要: 转自:http://www.52rd.com/Blog/Detail_RD.Blog_wangxg97_6873.html1.SDRAM的burst modeSDRAM是一种命令型动作的设备,就算读写资料只有一个也要先下命令才可以用,为了增加工作效率,就产生了一种传送一个命令,写多个数据的模式,这就是burst mode。burst mode是一种利用内部列地址发生器来工作的高速读写模式,只要设置... 阅读全文
posted @ 2010-05-15 21:01 _安德鲁 阅读(2592) 评论(2) 推荐(3) 编辑
摘要: 痛心不已呀! 阅读全文
posted @ 2010-05-15 07:13 _安德鲁 阅读(7520) 评论(9) 推荐(3) 编辑