摘要: 在网上down的源码有些时候要自己来编译,用Quartus来编译VHDL的源码,当有package的时候,不能像在ISE中那样直接用work.packagename.all就可以把package包含进去,用quartus会出现一个错误说找不到这个package。解决这个问题可以使用user library,在当前项目中新建一个目录,起名比方说叫my_lib,然后把要编译的package放进去,然后... 阅读全文
posted @ 2005-05-09 20:20 Swizard 阅读(3149) 评论(1) 推荐(0) 编辑
摘要: 在ISE初始化ROM的时候要用到一个扩展名为coe的文件,而我们用medwin等编译出来的程序文件一般为hex文件,写一个程序实现它们之间的转化,会很大地提高工作效率。hex文件结构如下: Intel hex 文件常用来保存单片机或其他处理器的目标程序代码。它保存物理程序存储区中的目标代码映象。一般的编程器都支持这种格式。 Intel hex 文件全部由可打印的ASCII字符组成(可以用记... 阅读全文
posted @ 2005-05-09 20:09 Swizard 阅读(3867) 评论(2) 推荐(0) 编辑
摘要: 在测试c语言单片机程序的时候,发现在main函数的执行之前,有很长的一段时间的延时,单片机在这段时间在做什么?进行了一下分析,得到结论如下:C程序 #include sbit p1_7=P1^7; void interrupt0() interrupt 0 using 2 { p1_7=!p1_7; } void main() { EA=1; IT0=1; EX0=1; p1_7=0;... 阅读全文
posted @ 2005-05-09 10:47 Swizard 阅读(2835) 评论(0) 推荐(0) 编辑