1 2 3 4 5 ··· 9 下一页
摘要: 新建项目 新建原理图 导入所需的库 添加元器件和接插件 连接导线 自动标注、修改元件属性 编译前——修改项目属性 编译,查看消息 生成网表、BOM、简易BOM,打印文件 阅读全文
posted @ 2022-11-26 23:58 HsiehTengK`o 阅读(104) 评论(0) 推荐(0) 编辑
摘要: 对应代码: 1、在UVM组件中主操作函数或者任务之前或者之后内嵌callback函数或任务 1 class driver extends uvm_driver #(transaction); 2 `uvm_register_cb(driver, driver_callback); //登记 3 .. 阅读全文
posted @ 2022-03-11 18:02 HsiehTengK`o 阅读(642) 评论(0) 推荐(0) 编辑
摘要: 1、所需文件在这个网盘里面:链接:https://pan.baidu.com/s/140DI2SyRmPf0Q-ikXcJMcQ 提取码:yjth 2、这个问题的解决参考了:https://h30434.www3.hp.com/t5/Desktops-Archive-Read-Only/invali 阅读全文
posted @ 2021-12-30 19:05 HsiehTengK`o 阅读(681) 评论(0) 推荐(0) 编辑
摘要: 先写好一个top.sv 查看代码 // 导入VCS或者Modelsim自带的UVM库和宏 `include "uvm_macros.svh" import uvm_pkg::*; // 下面这些sv都是接下来要写的 `include "driver.sv" `include "monitor.sv" 阅读全文
posted @ 2021-12-08 21:56 HsiehTengK`o 阅读(937) 评论(0) 推荐(0) 编辑
摘要: 环境:Win7x64,VMware15.0,centOS7.0,QuestaSim10.7c 假设已经编辑好了一个全加器还有运行这个DUT的testbech,代码如下: 点击查看代码 // filename: full_adder.v module full_adder( input wire a_ 阅读全文
posted @ 2021-11-11 21:59 HsiehTengK`o 阅读(606) 评论(0) 推荐(0) 编辑
摘要: 1、下载ffmpeg工具 https://ffmpeg.zeranoe.com/builds/ 这个网站估计是挂了。 由于 Zeranoe 已经不再编译、发布 FFMPEG,可从以下网址下载其他开发者编译的版本。 https://www.gyan.dev/ffmpeg/builds/ffmpeg-r 阅读全文
posted @ 2021-04-28 19:16 HsiehTengK`o 阅读(744) 评论(0) 推荐(0) 编辑
摘要: 1、双曲线标准方程和参数方程 2、计算器上的操作 1、打开APLET->Parametric->START 2、设置X1(T)=3/COS(T),X2(T)=4*TAN(T) 3、SHIFT+PLOT,设置绘图参数,TRNG=0,360, XSTEP=5(范围0-360°,步长5°)。其他不用设置。 阅读全文
posted @ 2021-04-19 15:32 HsiehTengK`o 阅读(351) 评论(0) 推荐(0) 编辑
摘要: 在文件资源管理器界面全选所有office文档, 右键->属性->详细信息->删除属性和个人信息->从此文件中删除以下信息->全选->确定,即可。 阅读全文
posted @ 2021-03-31 11:32 HsiehTengK`o 阅读(763) 评论(0) 推荐(0) 编辑
摘要: 若要更改保存游戏剪辑的位置,请使用文件资源管理器根据需要将“捕获”文件夹移动到电脑上的任意位置。 Windows 会将游戏剪辑和屏幕截图保存在该文件夹中(无论移动到哪里)。 简单吧 :) 阅读全文
posted @ 2021-03-31 09:52 HsiehTengK`o 阅读(5577) 评论(0) 推荐(0) 编辑
摘要: 阅读全文
posted @ 2021-03-17 11:16 HsiehTengK`o 阅读(1893) 评论(0) 推荐(0) 编辑
1 2 3 4 5 ··· 9 下一页