摘要: No.1 Verilog HDL程序结构 1. Verilog 描述硬件的基本设计单元是模块 module 2. 复杂的电子电路构建主要是通过模块之间的相互连接调用来实现的,在Verilog中将模块包含在关键字 module endmodule之间。 3. Verilog中的模块类似于C语言中的函数 阅读全文
posted @ 2019-07-28 23:11 LmLmLms 阅读(350) 评论(0) 推荐(0) 编辑
摘要: 用c写一点数据结构 链表下面具体还可以再分好多种,单单就其本身的一些方法就有很多,先慢慢来,从第一步开始,建立,插入,遍历开始,慢慢深入理解链表 "下一篇 数据结构练习_链表2" 阅读全文
posted @ 2019-07-28 23:01 LmLmLms 阅读(192) 评论(0) 推荐(0) 编辑