[转帖]ModelSim+Debussy仿真(Verilog)

来源:流程(Verilog/Modelsim+Debussy) http://www.eetop.cn/blog/html/39/162539-25309.html

来源:http://xucraft.blog.163.com/blog/static/105715340200982455226272/?fromdm&fromSearch&isFromSearchEngine=yes

ModelSim+Debussy仿真(Verilog) 

2009-09-24 17:52:26|  分类: 默认分类 |  标签:  |字号 订阅

 

利用ModelSim进行仿真,用Debussy查看仿真波形。Debussy进行debug的优点网上已有论述。下面说明仿真步骤:

1.Debussy可以直接使用fsdb文件显示仿真波形。因此,在写Testbench时,加入以下语句来生成fsdb文件,并将ModelSim仿真结果存入该文件。

initial
 begin
   $fsdbDumpfile("wave_out.fsdb");//生成fsdb文件
   $fsdbDumpvars(1,sdr);//sdr为需要记录仿真信息的模块实例名,1表示只记录sdr模块内部信号.

                                       //类推,2表示记录sdr模块及其模块内部一层实例化的模块信号

  $fsdbDumpvars(1,out.sig);//记录out模块内部sig信号
 end

2.由于$fsdbDumpfile和$fsdbDumpvars是Debussy的命令,ModelSim并不认识,为了让ModelSim认识并调用该命令,作如下操作:在Debussy安装目录下找到novas.dll文件(C:\novas\share\PLI\modelsim_pli54\WINNT\novas.dll),将该文件拷到ModelSim安装目录下(C:\ModelSim6.3a\win32)

3.编写run.do文件

if [file exists work] {
    vdel -all
}
vlib work
vlog *.v #编译源文件
vsim -pli novas.dll work.Testbench #调用dll,加载仿真
run 2ms #仿真时间控制
quit  #退出ModelSim

4 编写sim.bat文件

cd E:\verilog\demo #当前仿真目录
vsim -c -do run.do  #启动ModelSim命令行,并运行run.do

5.双击sim.bat文件,进行仿真,仿真完毕后,使用Debussy打开wave_out.fsdb即可。

来源:http://wenku.baidu.com/view/663d10c1d5bbfd0a795673fb.html这篇写得详细多了!

posted on 2011-07-10 23:10  zlh840  阅读(2521)  评论(0编辑  收藏  举报

导航