(原創) 如何解決在Quartus II無法使用ModelSim-Altera模擬的問題? (SOC) (Quartus II) (ModelSim)

Abstract
若在Quartus II 7.2下啟動ModelSim-Altera 6.1g進行模擬,可能會遇到以下錯誤訊息而無法模擬成功。

Introduction
使用環境:Quartus II 7.2 SP3 + ModelSim-Altera 6.1g

Error: Can't launch the ModelSim-Altera software -- the path to the location of the executables for the ModelSim-Altera software were not specified or the executables were not found at specified path.

Error: You can specify the path inthe EDA Tool Options page of the Options dialog box or using the Tcl command set_user_option.

Error: NativeLink simulation flow was NOT successful


Solution
Step 1:
設定NativeLink路徑

Tools -> Options -> General -> EDA Tool Options:
將ModelSim-Altera的路徑設定到c:\altera\72\modelsim_ae\win32aloem

modelsim_altera_00

See Also
(原創) 如何使用ModelSim-Altera作電路模擬? (SOC) (Quartus II) (ModelSim)
(原創) 如何使用ModelSim-Altera對Nios II仿真? (SOC) (Nios II) (SOPC Builder) (ModelSim) (DE2)
(筆記) 如何使用ModelSim作前仿真與後仿真? (SOC) (ModelSim)

posted on 2008-07-07 17:51  真 OO无双  阅读(18653)  评论(12编辑  收藏  举报

导航