2017年12月21日

uvm_port_base——TLM1事务级建模方法(五)

摘要: 文件: src/tlm1/uvm_port_base.svh 类: uvm_port_base uvm_port_component_base派生自uvm_component,因此具有其所有特性。提供了一下接口,get_connected_to 用于返回所有的port主动连接端口。get_provi 阅读全文

posted @ 2017-12-21 14:48 dpc525 阅读(456) 评论(0) 推荐(0) 编辑

uvm_sqr_ifs——TLM1事务级建模方法(四)

摘要: 与uvm_tlm_if_base 一样,这个类也没有派生自任何类,定义了如下几个接口:get_next_item, try_next_item, item_done, get, peek, put, put_response. 阅读全文

posted @ 2017-12-21 09:43 dpc525 阅读(441) 评论(0) 推荐(0) 编辑

2017年12月20日

uvm_tlm_if_base——TLM1事务级建模方法(三)

摘要: 文件: src/tlm1/uvm_tlm_ifs.svh 类: uvm_tlm_if_base 这个类没有派生自任何类,在类的中,定义了三类接口:第一类是阻塞性质的普通方法(task),put, get, peek, transport(T1, T2). 第二类是非阻塞性质的普通方法(functio 阅读全文

posted @ 2017-12-20 21:53 dpc525 阅读(1018) 评论(0) 推荐(0) 编辑

uvm_analysis_port——TLM1事务级建模方法(二)

摘要: UVM中的TLM1端口,第一类是用于uvm_driver 和uvm_sequencer连接端口,第二类是用于其他component之间连接的端口,如uvm_monitor和uvm_scoreboard。首先让我们看第二类。首先来看uvm_analysis_port。 我们可以看到所有的类都是继承自u 阅读全文

posted @ 2017-12-20 21:15 dpc525 阅读(2395) 评论(0) 推荐(0) 编辑

uvm_tlm——TLM1事务级建模方法(一)

摘要: TLM(事务级建模方法,Transaction-level modeling)是一种高级的数字系统模型化方法,它将模型间的通信细节与函数单元或通信架构的细节分离开来。通信机制(如总线或者FIFO)被建模成信道,并且以SystemC接口类的形式向模块呈现。事务请求一般在调用这些信道模型的接口函数时发生 阅读全文

posted @ 2017-12-20 10:47 dpc525 阅读(2157) 评论(0) 推荐(0) 编辑

2017年12月19日

uvm_hdl——DPI在UVM中的实现(四)

摘要: 我们可以在uvm中实现HDL的后门访问,具体包括的function有uvm_hdl_check_path,uvm_hdl_deposit, uvm_hdl_force,uvm_hdl_release,uvm_hdl_read, task 有uvm_hdl_force_time。 这么做与直接用SV中 阅读全文

posted @ 2017-12-19 16:39 dpc525 阅读(9808) 评论(0) 推荐(1) 编辑

uvm_regex——DPI在UVM中的实现(三)

摘要: UVM的正则表达是在uvm_regex.cc 和uvm_regex.svh 中实现的,uvm_regex.svh实现UVM的正则表达式的源代码如下: 然后,再看看uvm_regex.cc的源代码: #include "uvm_dpi.h" #include <sys/types.h> const c 阅读全文

posted @ 2017-12-19 16:10 dpc525 阅读(1976) 评论(0) 推荐(0) 编辑

uvm_svcmd_dpi——DPI在UVM中的实现(二)

摘要: UVM中有需要从cmmand line 输入参数的需求,所有uvm_svcmd_dpi.svh和uvm_svcmd_dpi.cc 文件就是实现功能。 uvm_svcmd_dpi.svh的源代码如下,我们可以看SV采用import的方式导入C代码函数,所有者写函数的实现在uvm_svcmd_dpi.c 阅读全文

posted @ 2017-12-19 15:57 dpc525 阅读(1744) 评论(0) 推荐(0) 编辑

uvm_dpi——DPI在UVM中的实现(一)

摘要: 文件: src/dpi/uvm_dpi.svh 类: 无 SystemVerilog DPI,全称SystemVerilog直接编程接口 (英语:SystemVerilog Direct Programming Interface)是SystemVerilog与其他外来编程语言的接口。能够使用的语言 阅读全文

posted @ 2017-12-19 15:45 dpc525 阅读(2723) 评论(0) 推荐(0) 编辑

2017年12月17日

uvm_reg_adapter——寄存器模型(十八)

摘要: uvm_reg_adapter 功能就是在uvm_reg_bus_op和总线操作之间的转换。主要包含两个函数reg2bus 和bus2reg。 阅读全文

posted @ 2017-12-17 14:20 dpc525 阅读(2598) 评论(0) 推荐(0) 编辑

导航